PoC.net.mac.WrapperΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
entity mac_Wrapper is
  generic (
    DEBUG                       : boolean                             := FALSE;
    MAC_CONFIG                  : T_NET_MAC_CONFIGURATION_VECTOR
  );
  port (
    Clock                       : in  std_logic;
    Reset                       : in  std_logic;

    Eth_TX_Valid                : out std_logic;
    Eth_TX_Data                 : out T_SLV_8;
    Eth_TX_SOF                  : out std_logic;
    Eth_TX_EOF                  : out std_logic;
    Eth_TX_Ack                  : in  std_logic;

    Eth_RX_Valid                : in  std_logic;
    Eth_RX_Data                 : in  T_SLV_8;
    Eth_RX_SOF                  : in  std_logic;
    Eth_RX_EOF                  : in  std_logic;
    Eth_RX_Ack                  : out std_logic;

    TX_Valid                    : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_Data                     : in  T_SLVV_8(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_SOF                      : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_EOF                      : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_Ack                      : out std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_Meta_rst                 : out std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_Meta_DestMACAddress_nxt  : out std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    TX_Meta_DestMACAddress_Data : in  T_SLVV_8(getPortCount(MAC_CONFIG) - 1 downto 0);

    RX_Valid                    : out std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Data                     : out T_SLVV_8(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_SOF                      : out std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_EOF                      : out std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Ack                      : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Meta_rst                 : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Meta_SrcMACAddress_nxt   : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Meta_SrcMACAddress_Data  : out T_SLVV_8(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Meta_DestMACAddress_nxt  : in  std_logic_vector(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Meta_DestMACAddress_Data : out T_SLVV_8(getPortCount(MAC_CONFIG) - 1 downto 0);
    RX_Meta_EthType             : out T_NET_MAC_ETHERNETTYPE_VECTOR(getPortCount(MAC_CONFIG) - 1 downto 0)
  );
end entity;