PoC.net.FrameLoopbackΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity FrameLoopback is
  generic (
    DATA_BW                   : positive        := 8;
    META_BW                   : natural         := 0
  );
  port (
    Clock                     : in  std_logic;
    Reset                     : in  std_logic;

    In_Valid                  : in  std_logic;
    In_Data                   : in  std_logic_vector(DATA_BW - 1 downto 0);
    In_Meta                   : in  std_logic_vector(META_BW - 1 downto 0);
    In_SOF                    : in  std_logic;
    In_EOF                    : in  std_logic;
    In_Ack                    : out std_logic;


    Out_Valid                 : out std_logic;
    Out_Data                  : out std_logic_vector(DATA_BW - 1 downto 0);
    Out_Meta                  : out std_logic_vector(META_BW - 1 downto 0);
    Out_SOF                   : out std_logic;
    Out_EOF                   : out std_logic;
    Out_Ack                   : in  std_logic
  );
end entity;