PoC.net.mac.TX_SrcMAC_PrependerΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity mac_TX_SrcMAC_Prepender is
  generic (
    DEBUG                         : boolean                         := FALSE;
    MAC_ADDRESSES                 : T_NET_MAC_ADDRESS_VECTOR        := (0 => C_NET_MAC_ADDRESS_EMPTY)
  );
  port (
    Clock                         : in  std_logic;
    Reset                         : in  std_logic;
    -- IN Port
    In_Valid                      : in  std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    In_Data                       : in  T_SLVV_8(MAC_ADDRESSES'length - 1 downto 0);
    In_SOF                        : in  std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    In_EOF                        : in  std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    In_Ack                        : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    In_Meta_rst                   : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    In_Meta_DestMACAddress_nxt    : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    In_Meta_DestMACAddress_Data   : in  T_SLVV_8(MAC_ADDRESSES'length - 1 downto 0);
    -- OUT Port
    Out_Valid                     : out std_logic;
    Out_Data                      : out T_SLV_8;
    Out_SOF                       : out std_logic;
    Out_EOF                       : out std_logic;
    Out_Ack                       : in  std_logic;
    Out_Meta_rst                  : in  std_logic;
    Out_Meta_DestMACAddress_nxt   : in  std_logic;
    Out_Meta_DestMACAddress_Data  : out T_SLV_8
  );
end entity;