PoC.net.mac.RX_Type_SwitchΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
entity mac_RX_Type_Switch is
  generic (
    DEBUG                         : boolean                         := FALSE;
    ETHERNET_TYPES                : T_NET_MAC_ETHERNETTYPE_VECTOR   := (0 => C_NET_MAC_ETHERNETTYPE_EMPTY)
  );
  port (
    Clock                         : in  std_logic;
    Reset                         : in  std_logic;

    In_Valid                      : in  std_logic;
    In_Data                       : in  T_SLV_8;
    In_SOF                        : in  std_logic;
    In_EOF                        : in  std_logic;
    In_Ack                        : out std_logic;
    In_Meta_rst                   : out std_logic;
    In_Meta_SrcMACAddress_nxt     : out std_logic;
    In_Meta_SrcMACAddress_Data    : in  T_SLV_8;
    In_Meta_DestMACAddress_nxt    : out std_logic;
    In_Meta_DestMACAddress_Data   : in  T_SLV_8;

    Out_Valid                     : out std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_Data                      : out T_SLVV_8(ETHERNET_TYPES'length - 1 downto 0);
    Out_SOF                       : out std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_EOF                       : out std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_Ack                       : in  std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_Meta_rst                  : in  std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_Meta_SrcMACAddress_nxt    : in  std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_Meta_SrcMACAddress_Data   : out T_SLVV_8(ETHERNET_TYPES'length - 1 downto 0);
    Out_Meta_DestMACAddress_nxt   : in  std_logic_vector(ETHERNET_TYPES'length - 1 downto 0);
    Out_Meta_DestMACAddress_Data  : out T_SLVV_8(ETHERNET_TYPES'length - 1 downto 0);
    Out_Meta_EthType              : out T_NET_MAC_ETHERNETTYPE_VECTOR(ETHERNET_TYPES'length - 1 downto 0)
  );
end entity;