PoC.net.mac.RX_DestMAC_SwitchΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity mac_RX_DestMAC_Switch is
  generic (
    DEBUG                         : boolean                         := FALSE;
    MAC_ADDRESSES                 : T_NET_MAC_ADDRESS_VECTOR    := (0 => C_NET_MAC_ADDRESS_EMPTY);
    MAC_ADDRESSE_MASKS            : T_NET_MAC_ADDRESS_VECTOR    := (0 => C_NET_MAC_MASK_DEFAULT)
  );
  port (
    Clock                         : in  std_logic;
    Reset                         : in  std_logic;

    In_Valid                      : in  std_logic;
    In_Data                       : in  T_SLV_8;
    In_SOF                        : in  std_logic;
    In_EOF                        : in  std_logic;
    In_Ack                        : out std_logic;

    Out_Valid                     : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    Out_Data                      : out T_SLVV_8(MAC_ADDRESSES'length - 1 downto 0);
    Out_SOF                       : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    Out_EOF                       : out std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    Out_Ack                       : in  std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    Out_Meta_DestMACAddress_rst   : in  std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    Out_Meta_DestMACAddress_nxt   : in  std_logic_vector(MAC_ADDRESSES'length - 1 downto 0);
    Out_Meta_DestMACAddress_Data  : out T_SLVV_8(MAC_ADDRESSES'length - 1 downto 0)
  );
end entity;