PoC.io.pmod.USBUARTΒΆ

This module abstracts a FTDI FT232R USB-UART bridge by instantiating a PoC.io.uart.fifo. The FT232R supports up to 3 MBaud. A synchronous FIFO interface with a 32 words buffer is provided. Hardware flow control (RTS_CTS) is enabled.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity pmod_USBUART is
  generic (
    CLOCK_FREQ    : FREQ    := 100 MHz;
    BAUDRATE      : BAUD    := 115200 Bd
  );
  port (
    Clock     : in  std_logic;
    Reset     : in  std_logic;

    TX_put    : in  std_logic;
    TX_Data   : in  std_logic_vector(7 downto 0);
    TX_Full   : out std_logic;

    RX_Valid  : out std_logic;
    RX_Data   : out std_logic_vector(7 downto 0);
    RX_got    : in  std_logic;

    UART_TX   : out std_logic;
    UART_RX   : in  std_logic;
    UART_RTS  : out std_logic;
    UART_CTS  : in  std_logic
  );
end entity;