PoC.io.FrequencyCounterΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
entity io_FrequencyCounter is
  generic (
    CLOCK_FREQ                : FREQ                  := 100 MHz;
    TIMEBASE                  : time                  := 1 sec;
    RESOLUTION                : positive              := 8
  );
  port (
    Clock       : in  std_logic;
    Reset       : in  std_logic;
    FreqIn      : in  std_logic;
    FreqOut     : out std_logic_vector(RESOLUTION - 1 downto 0)
  );
end entity;