PoC.io.pmod.SSDΒΆ

This module drives a dual-digit 7-segment display (Pmod_SSD). The module expects two binary encoded 4-bit Digit<i> signals and drives a 2x6 bit Pmod connector (7 anode bits, 1 cathode bit).

Segment Pos./ Index
   AAA      |   000
  F   B     |  5   1
  F   B     |  5   1
   GGG      |   666
  E   C     |  4   2
  E   C     |  4   2
   DDD  DOT |   333  7

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
entity pmod_SSD is
  generic (
    CLOCK_FREQ    : FREQ    := 100 MHz;
    REFRESH_RATE  : FREQ    := 1 kHz
  );
  port (
    Clock     : in  std_logic;

    Digit0    : in  std_logic_vector(3 downto 0);
    Digit1    : in  std_logic_vector(3 downto 0);

    SSD       : out T_PMOD_SSD_PINS
  );
end entity;