PoC.io.GlitchFilterΒΆ

This module filters glitches on a wire. The high and low spike suppression cycle counts can be configured.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
entity io_GlitchFilter is
  generic (
    HIGH_SPIKE_SUPPRESSION_CYCLES     : natural       := 5;
    LOW_SPIKE_SUPPRESSION_CYCLES      : natural       := 5
  );
  port (
    Clock   : in  std_logic;
    Input   : in  std_logic;
    Output  : out std_logic
  );
end entity;