pyIPCMI.py

Submodules

Classes

  • pyIPCMIEntityAttribute: Undocumented.
  • BoardDeviceAttributeGroup: Undocumented.
  • VHDLVersionAttribute: Undocumented.
  • SimulationStepsAttributeGroup: Undocumented.
  • CompileStepsAttributeGroup: Undocumented.
  • IPCoreManagementInfrastructure: A mixin class to provide local logging methods.
class pyIPCMI.pyIPCMIEntityAttribute[source]

Inheritance

Inheritance diagram of pyIPCMIEntityAttribute

Members

classmethod GetAttributes(method)
classmethod GetMethods(cl)
static _AppendAttribute(func, attribute)
_debug = False
class pyIPCMI.BoardDeviceAttributeGroup[source]

Inheritance

Inheritance diagram of BoardDeviceAttributeGroup

Members

classmethod GetAttributes(method)
classmethod GetMethods(cl)
static _AppendAttribute(func, attribute)
_debug = False
class pyIPCMI.VHDLVersionAttribute[source]

Inheritance

Inheritance diagram of VHDLVersionAttribute

Members

classmethod GetAttributes(method)
classmethod GetMethods(cl)
static _AppendAttribute(func, attribute)
_debug = False
class pyIPCMI.SimulationStepsAttributeGroup[source]

Inheritance

Inheritance diagram of SimulationStepsAttributeGroup

Members

classmethod GetAttributes(method)
classmethod GetMethods(cl)
static _AppendAttribute(func, attribute)
_debug = False
class pyIPCMI.CompileStepsAttributeGroup[source]

Inheritance

Inheritance diagram of CompileStepsAttributeGroup

Members

classmethod GetAttributes(method)
classmethod GetMethods(cl)
static _AppendAttribute(func, attribute)
_debug = False
class pyIPCMI.IPCoreManagementInfrastructure(debug, verbose, quiet, dryRun, sphinx=False)[source]

Inheritance

Inheritance diagram of IPCoreManagementInfrastructure

Members

HeadLine = 'pyIPCMI - Service Tool'
Platform
DryRun
Directories
ConfigFiles
Config
Root
Repository
SaveAndReloadConfiguration()[source]
Run()[source]
PrintHeadline()[source]
HandleDefault(_)[source]
HandleHelp(args)[source]
HandleInfo(args)[source]
HandleConfiguration(args)[source]

Handle ‘configure’ command.

HandleSelection(args)[source]

Handle ‘select’ command.

HandleAddSolution(_)[source]
HandleListSolution(_)[source]
HandleRemoveSolution(args)[source]
HandleListProject(args)[source]
HandleQueryConfiguration(args)[source]
_ExtractBoard(BoardName, DeviceName, force=False)[source]
_ExtractFQNs(fqns, defaultLibrary=None, defaultType=<EntityTypes.Testbench: 2>)[source]
_ExtractVHDLVersion(vhdlVersion, defaultVersion=None)[source]
_CheckActiveHDL()[source]
_CheckRivieraPRO()[source]
_CheckQuartus()[source]
_CheckDiamond()[source]
_CheckModelSim()[source]
_CheckISE()[source]
_CheckVivado()[source]
_CheckGHDL()[source]
static _ExtractSimulationSteps(guiMode, analyze, elaborate, optimize, recompile, simulate, showWaveform, showCoverage, resimulate, showReport, cleanUp)[source]
static _ExtractCompileSteps(guiMode, synthesize, showReport, cleanUp)[source]
HandleListTestbenches(args)[source]
HandleActiveHDLSimulation(args)[source]
HandleGHDLSimulation(args)[source]
HandleISESimulation(args)[source]
HandleModelSimSimulation(args)[source]
HandleAnyMentorSimulation(args)[source]
HandleRivieraPROSimulation(args)[source]
HandleQuestaSimSimulation(args)[source]
HandleVivadoSimulation(args)[source]
HandleCocotbSimulation(args)[source]
HandleListNetlist(args)[source]
HandleISECompilation(args)[source]
HandleCoreGeneratorCompilation(args)[source]
HandleXstCompilation(args)[source]
HandleIpCatalogCompilation(args)[source]
HandleVivadoCompilation(args)[source]
HandleQuartusCompilation(args)[source]
static GetAttributes(method)
GetMethods()
HandleLSECompilation(args)[source]
static HasAttribute(method)
Log(entry, condition=True)

Write an entry to the local logger.

LogDebug(*args, condition=True, **kwargs)
LogDryRun(*args, condition=True, **kwargs)
LogError(*args, condition=True, **kwargs)
LogFatal(*args, condition=True, **kwargs)
LogInfo(*args, condition=True, **kwargs)
LogNormal(*args, condition=True, **kwargs)
LogQuiet(*args, condition=True, **kwargs)
LogVerbose(*args, condition=True, **kwargs)
LogWarning(*args, condition=True, **kwargs)
Logger

Return the local logger instance.

MainParser
SubParsers
_ArgParseMixin__mainParser = None
_ArgParseMixin__subParser = None
_ArgParseMixin__subParsers = {}
_IPCoreManagementInfrastructure__BackupConfiguration()
_IPCoreManagementInfrastructure__CONFIGFILE_BOARDS = 'config.boards.ini'
_IPCoreManagementInfrastructure__CONFIGFILE_DEFAULTS = 'config.defaults.ini'
_IPCoreManagementInfrastructure__CONFIGFILE_IPCORES = 'config.entity.ini'
_IPCoreManagementInfrastructure__CONFIGFILE_PRIVATE = 'config.private.ini'
_IPCoreManagementInfrastructure__CONFIGFILE_STRUCTURE = 'config.structure.ini'
_IPCoreManagementInfrastructure__CheckEnvironment()
_IPCoreManagementInfrastructure__CheckSection(sectionName, toolName)
_IPCoreManagementInfrastructure__PLATFORM = 'Linux'
_IPCoreManagementInfrastructure__PrepareForConfiguration()
_IPCoreManagementInfrastructure__PrepareForSimulation()
_IPCoreManagementInfrastructure__PrepareForSynthesis()
_IPCoreManagementInfrastructure__ReadConfiguration()
_IPCoreManagementInfrastructure__WriteConfiguration()
_TryLog(*args, condition=True, **kwargs)