pyIPCMI.DataBase.Solution.pyΒΆ

Classes

  • Base: Base class for Repository, Solution and Project.
  • Repository: Base class for Repository, Solution and Project.
  • Solution: Base class for Repository, Solution and Project.
  • Project: Base class for Repository, Solution and Project.
  • ISEProject: Base class for Repository, Solution and Project.
  • VivadoProject: Base class for Repository, Solution and Project.
  • QuartusProject: Base class for Repository, Solution and Project.
  • LatticeProject: Base class for Repository, Solution and Project.
  • VirtualProject: Undocumented.
  • FileListFile: Undocumented.
  • RulesFile: Undocumented.
class pyIPCMI.DataBase.Solution.Base(host, sectionPrefix, sectionID, parent)[source]

Base class for Repository, Solution and Project. It implements ILazyLoadable.

Inheritance

Inheritance diagram of Base

Members

ID
Parent
ConfigSectionName
_Load()[source]

Implement this method for early loading.

LazyLoadable_IsLoaded
_LazyLoadable_Load()
class pyIPCMI.DataBase.Solution.Repository(host)[source]

Inheritance

Inheritance diagram of Repository

Members

Kind
_Load()[source]

Implement this method for early loading.

_LazyLoadable_Load()[source]
AddSolution(solutionID, solutionName, solutionRootPath)[source]
RemoveSolution(solution)[source]
Solutions
SolutionNames
ConfigSectionName
ID
LazyLoadable_IsLoaded
Parent
class pyIPCMI.DataBase.Solution.Solution(host, slnID, parent)[source]

Inheritance

Inheritance diagram of Solution

Members

Register()[source]
Unregister()[source]
CreateFiles()[source]
_LazyLoadable_Load()[source]
Name
Path
Projects
ProjectNames
ConfigSectionName
ID
LazyLoadable_IsLoaded
Parent
_Load()

Implement this method for early loading.

class pyIPCMI.DataBase.Solution.Project(host, prjID, parent)[source]

Inheritance

Inheritance diagram of Project

Members

Name
ConfigSectionName
ID
LazyLoadable_IsLoaded
Parent
_LazyLoadable_Load()
_Load()

Implement this method for early loading.

class pyIPCMI.DataBase.Solution.ISEProject(host, prjID, parent)[source]

Inheritance

Inheritance diagram of ISEProject

Members

ConfigSectionName
ID
LazyLoadable_IsLoaded
Name
Parent
_LazyLoadable_Load()
_Load()

Implement this method for early loading.

class pyIPCMI.DataBase.Solution.VivadoProject(host, prjID, parent)[source]

Inheritance

Inheritance diagram of VivadoProject

Members

ConfigSectionName
ID
LazyLoadable_IsLoaded
Name
Parent
_LazyLoadable_Load()
_Load()

Implement this method for early loading.

class pyIPCMI.DataBase.Solution.QuartusProject(host, prjID, parent)[source]

Inheritance

Inheritance diagram of QuartusProject

Members

ConfigSectionName
ID
LazyLoadable_IsLoaded
Name
Parent
_LazyLoadable_Load()
_Load()

Implement this method for early loading.

class pyIPCMI.DataBase.Solution.LatticeProject(host, prjID, parent)[source]

Inheritance

Inheritance diagram of LatticeProject

Members

ConfigSectionName
ID
LazyLoadable_IsLoaded
Name
Parent
_LazyLoadable_Load()
_Load()

Implement this method for early loading.

class pyIPCMI.DataBase.Solution.VirtualProject(name)[source]

Inheritance

Inheritance diagram of VirtualProject

Members

AddExternalVHDLLibraries(library)
AddFile(file, fileSet=None)
AddFileSet(fileSet)
AddSourceFile(file, fileSet=None)
Board
CreateFileSet(name, setDefault=True)
DefaultFileSet
Device
Environment
ExternalVHDLLibraries
ExtractVHDLLibrariesFromVHDLSourceFiles()
FileSets
Files(fileType=<FileTypes(Text|ProjectFile|FileListFile|RulesFile|SourceFile|VHDLSourceFile|VerilogSourceFile|PythonSourceFile|CocotbSourceFile|ConstraintFile|UcfConstraintFile|XdcConstraintFile|SdcConstraintFile|LdcConstraintFile|SettingsFile|QuartusSettingsFile) bits=0xFFFF>, fileSet=None)
GetVariables()
Name
RootDirectory
Tool
ToolChain
VHDLLibraries
VHDLVersion
pprint(indent=0)
class pyIPCMI.DataBase.Solution.FileListFile(file, project=None, fileSet=None)[source]

Inheritance

Inheritance diagram of FileListFile

Members

_FileType = <FileTypes.FileListFile bits=0x0004 data=UNDEFINED>
_classVHDLSourceFile

alias of pyIPCMI.Parser.FilesParser.VHDLSourceFileMixIn

_classVerilogSourceFile

alias of pyIPCMI.Parser.FilesParser.VerilogSourceFileMixIn

_classCocotbSourceFile

alias of pyIPCMI.Parser.FilesParser.CocotbSourceFileMixIn

Parse(host)[source]
CopyFilesToFileSet()[source]
CopyExternalLibraries()[source]
FileName
FileSet
FileType
Files
Includes
Libraries
Open()
Path
Project
ReadFile()
Warnings
_Evaluate(host, expr)
_EvaluatePath(host, expr)
_Parse()
_ReadContent()
_Resolve(host, statements=None)
_classIncludeFile

alias of pyIPCMI.Parser.FilesParser.IncludeFileMixIn

_classLDCSourceFile

alias of pyIPCMI.Parser.FilesParser.LDCSourceFileMixIn

_classSDCSourceFile

alias of pyIPCMI.Parser.FilesParser.SDCSourceFileMixIn

_classUCFSourceFile

alias of pyIPCMI.Parser.FilesParser.UCFSourceFileMixIn

_classXDCSourceFile

alias of pyIPCMI.Parser.FilesParser.XDCSourceFileMixIn

class pyIPCMI.DataBase.Solution.RulesFile(file, project=None, fileSet=None)[source]

Inheritance

Inheritance diagram of RulesFile

Members

_FileType = <FileTypes.RulesFile bits=0x0008 data=UNDEFINED>
FileName
FileSet
FileType
Open()
Path
PostProcessRules
PreProcessRules
Project
ReadFile()
_Parse()
_ReadContent()
_Resolve()
_ResolveRule(ruleStatement, lst)
_classAppendLineRule

alias of pyIPCMI.Parser.RulesParser.AppendLineRuleMixIn

_classCopyRule

alias of pyIPCMI.Parser.RulesParser.CopyRuleMixIn

_classDeleteRule

alias of pyIPCMI.Parser.RulesParser.DeleteRuleMixIn

_classReplaceRule

alias of pyIPCMI.Parser.RulesParser.ReplaceRuleMixIn

Parse()[source]