pyIPCMI.DataBase.Entity.py

Classes

  • EntityTypes: An enumeration.
  • BaseFlags: Undocumented.
  • TestbenchKind: Undocumented.
  • NetlistKind: Undocumented.
  • NamespaceRoot: Undocumented.
  • Visibility: An enumeration.
  • PathElement: Undocumented.
  • Namespace: Undocumented.
  • Library: Undocumented.
  • WildCard: Undocumented.
  • StarWildCard: Undocumented.
  • AskWildCard: Undocumented.
  • IPCore: Undocumented.
  • LazyPathElement: Undocumented.
  • Testbench: Undocumented.
  • VHDLTestbench: Undocumented.
  • CocoTestbench: Undocumented.
  • Netlist: Undocumented.
  • XstNetlist: Undocumented.
  • QuartusNetlist: Undocumented.
  • LatticeNetlist: Undocumented.
  • CoreGeneratorNetlist: Undocumented.
  • VivadoNetlist: Undocumented.
  • FQN: Undocumented.

Functions

  • _pyIPCMIEntityTypes_parser(): Undocumented.
class pyIPCMI.DataBase.Entity.EntityTypes[source]

An enumeration.

Inheritance

Inheritance diagram of EntityTypes

Members

Unknown = 0
Source = 1
Testbench = 2
NetList = 3
class pyIPCMI.DataBase.Entity.BaseFlags[source]

Inheritance

Inheritance diagram of BaseFlags

Members

_FlagsArithmeticMixin__bits
_FlagsArithmeticMixin__create_flags_instance(bits)
_Flags__internal_str()
classmethod bits_from_simple_str(s)
classmethod bits_from_str(s)

Converts the output of __str__ into an integer.

data
classmethod from_simple_str(s)

Accepts only the output of to_simple_str(). The output of __str__() is invalid as input.

classmethod from_str(s)

Accepts both the output of to_simple_str() and __str__().

is_disjoint(*flags_instances)
is_member

flags.is_member is a shorthand for flags.properties is not None. If this property is False then this Flags instance has either zero bits or holds a combination of flag member bits. If this property is True then the bits of this Flags instance match exactly the bits associated with one of the members. This however doesn’t necessarily mean that this flag instance isn’t a combination of several flags because the bits of a member can be the subset of another member. For example if member0_bits=0x1 and member1_bits=0x3 then the bits of member0 are a subset of the bits of member1. If a flag instance holds the bits of member1 then Flags.is_member returns True and Flags.properties returns the properties of member1 but __len__() returns 2 and __iter__() yields both member0 and member1.

name
properties
Returns:Returns None if this flag isn’t an exact member of a flags class but a combination of flags,

returns an object holding the properties (e.g.: name, data, index, …) of the flag otherwise. We don’t store flag properties directly in Flags instances because this way Flags instances that are the (temporary) result of flags arithmetic don’t have to maintain these fields and it also has some benefits regarding memory usage.

to_simple_str()
class pyIPCMI.DataBase.Entity.TestbenchKind[source]

Inheritance

Inheritance diagram of TestbenchKind

Members

_FlagsArithmeticMixin__bits
_FlagsArithmeticMixin__create_flags_instance(bits)
_Flags__internal_str()
classmethod bits_from_simple_str(s)
classmethod bits_from_str(s)

Converts the output of __str__ into an integer.

data
classmethod from_simple_str(s)

Accepts only the output of to_simple_str(). The output of __str__() is invalid as input.

classmethod from_str(s)

Accepts both the output of to_simple_str() and __str__().

is_disjoint(*flags_instances)
is_member

flags.is_member is a shorthand for flags.properties is not None. If this property is False then this Flags instance has either zero bits or holds a combination of flag member bits. If this property is True then the bits of this Flags instance match exactly the bits associated with one of the members. This however doesn’t necessarily mean that this flag instance isn’t a combination of several flags because the bits of a member can be the subset of another member. For example if member0_bits=0x1 and member1_bits=0x3 then the bits of member0 are a subset of the bits of member1. If a flag instance holds the bits of member1 then Flags.is_member returns True and Flags.properties returns the properties of member1 but __len__() returns 2 and __iter__() yields both member0 and member1.

name
properties
Returns:Returns None if this flag isn’t an exact member of a flags class but a combination of flags,

returns an object holding the properties (e.g.: name, data, index, …) of the flag otherwise. We don’t store flag properties directly in Flags instances because this way Flags instances that are the (temporary) result of flags arithmetic don’t have to maintain these fields and it also has some benefits regarding memory usage.

to_simple_str()
class pyIPCMI.DataBase.Entity.NetlistKind[source]

Inheritance

Inheritance diagram of NetlistKind

Members

_FlagsArithmeticMixin__bits
_FlagsArithmeticMixin__create_flags_instance(bits)
_Flags__internal_str()
classmethod bits_from_simple_str(s)
classmethod bits_from_str(s)

Converts the output of __str__ into an integer.

data
classmethod from_simple_str(s)

Accepts only the output of to_simple_str(). The output of __str__() is invalid as input.

classmethod from_str(s)

Accepts both the output of to_simple_str() and __str__().

is_disjoint(*flags_instances)
is_member

flags.is_member is a shorthand for flags.properties is not None. If this property is False then this Flags instance has either zero bits or holds a combination of flag member bits. If this property is True then the bits of this Flags instance match exactly the bits associated with one of the members. This however doesn’t necessarily mean that this flag instance isn’t a combination of several flags because the bits of a member can be the subset of another member. For example if member0_bits=0x1 and member1_bits=0x3 then the bits of member0 are a subset of the bits of member1. If a flag instance holds the bits of member1 then Flags.is_member returns True and Flags.properties returns the properties of member1 but __len__() returns 2 and __iter__() yields both member0 and member1.

name
properties
Returns:Returns None if this flag isn’t an exact member of a flags class but a combination of flags,

returns an object holding the properties (e.g.: name, data, index, …) of the flag otherwise. We don’t store flag properties directly in Flags instances because this way Flags instances that are the (temporary) result of flags arithmetic don’t have to maintain these fields and it also has some benefits regarding memory usage.

to_simple_str()
class pyIPCMI.DataBase.Entity.NamespaceRoot(host)[source]

Inheritance

Inheritance diagram of NamespaceRoot

Members

Libraries
LibraryNames
DefaultLibraryName
GetLibraries()[source]
GetLibraryNames()[source]
AddLibrary(libraryName, libraryPrefix)[source]
_NamespaceRoot__LibraryRoot_Name = ''
_NamespaceRoot__LibraryRoot_SectionName = ''
class pyIPCMI.DataBase.Entity.Visibility[source]

An enumeration.

Inheritance

Inheritance diagram of Visibility

Members

Unknown = 0
Private = 1
Public = 2
class pyIPCMI.DataBase.Entity.PathElement(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of PathElement

Members

Name
Parent
ConfigSectionName
ConfigSection
Level
Visibility
IsVisible
Path
_Load()[source]
class pyIPCMI.DataBase.Entity.Namespace(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of Namespace

Members

_Load()[source]
Namespaces
NamespaceNames
Entities
EntityNames
GetNamespaces()[source]
GetNamespaceNames()[source]
GetEntities()[source]
GetEntityNames()[source]
GetAllEntities()[source]
pprint(indent=0)[source]
ConfigSection
ConfigSectionName
IsVisible
Level
Name
Parent
Path
Visibility
class pyIPCMI.DataBase.Entity.Library(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of Library

Members

Level
ConfigSection
ConfigSectionName
Entities
EntityNames
GetAllEntities()
GetEntities()
GetEntityNames()
GetNamespaceNames()
GetNamespaces()
IsVisible
Name
NamespaceNames
Namespaces
Parent
Path
Visibility
_Load()
pprint(indent=0)
class pyIPCMI.DataBase.Entity.WildCard(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of WildCard

Members

GetEntities()[source]
GetTestbenches(kind=<TestbenchKind(VHDLTestbench|CocoTestbench) bits=0x0003>)[source]
GetVHDLTestbenches()[source]
GetCocoTestbenches()[source]
GetNetlists(kind=<NetlistKind(LatticeNetlist|QuartusNetlist|XstNetlist|CoreGeneratorNetlist|VivadoNetlist) bits=0x001F>)[source]
GetLatticeNetlists()[source]
GetQuartusNetlists()[source]
GetXSTNetlists()[source]
GetCoreGenNetlists()[source]
GetVivadoNetlists()[source]
Testbenches
VHDLTestbenches
CocoTestbenches
Netlists
LatticeNetlists
QuartusNetlists
XSTNetlists
CoreGenNetlists
VivadoNetlists
ConfigSection
ConfigSectionName
IsVisible
Level
Name
Parent
Path
Visibility
_Load()
class pyIPCMI.DataBase.Entity.StarWildCard(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of StarWildCard

Members

_Load()[source]
GetEntities()[source]
CocoTestbenches
ConfigSection
ConfigSectionName
CoreGenNetlists
GetCocoTestbenches()
GetCoreGenNetlists()
GetLatticeNetlists()
GetNetlists(kind=<NetlistKind(LatticeNetlist|QuartusNetlist|XstNetlist|CoreGeneratorNetlist|VivadoNetlist) bits=0x001F>)
GetQuartusNetlists()
GetTestbenches(kind=<TestbenchKind(VHDLTestbench|CocoTestbench) bits=0x0003>)
GetVHDLTestbenches()
GetVivadoNetlists()
GetXSTNetlists()
IsVisible
LatticeNetlists
Level
Name
Netlists
Parent
Path
QuartusNetlists
Testbenches
VHDLTestbenches
Visibility
VivadoNetlists
XSTNetlists
class pyIPCMI.DataBase.Entity.AskWildCard(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of AskWildCard

Members

_Load()[source]
GetEntities()[source]
CocoTestbenches
ConfigSection
ConfigSectionName
CoreGenNetlists
GetCocoTestbenches()
GetCoreGenNetlists()
GetLatticeNetlists()
GetNetlists(kind=<NetlistKind(LatticeNetlist|QuartusNetlist|XstNetlist|CoreGeneratorNetlist|VivadoNetlist) bits=0x001F>)
GetQuartusNetlists()
GetTestbenches(kind=<TestbenchKind(VHDLTestbench|CocoTestbench) bits=0x0003>)
GetVHDLTestbenches()
GetVivadoNetlists()
GetXSTNetlists()
IsVisible
LatticeNetlists
Level
Name
Netlists
Parent
Path
QuartusNetlists
Testbenches
VHDLTestbenches
Visibility
VivadoNetlists
XSTNetlists
class pyIPCMI.DataBase.Entity.IPCore(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of IPCore

Members

Dependencies
VHDLTestbench
CocoTestbench
GetTestbenches(kind=<TestbenchKind(VHDLTestbench|CocoTestbench) bits=0x0003>)[source]
LatticeNetlist
QuartusNetlist
XSTNetlist
CGNetlist
VivadoNetlist
GetNetlists(kind=<NetlistKind(LatticeNetlist|QuartusNetlist|XstNetlist|CoreGeneratorNetlist|VivadoNetlist) bits=0x001F>)[source]
_Load()[source]
pprint(indent=0)[source]
ConfigSection
ConfigSectionName
IsVisible
Level
Name
Parent
Path
Visibility
class pyIPCMI.DataBase.Entity.LazyPathElement(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of LazyPathElement

Members

Kind
ConfigSection
ConfigSectionName
IsVisible
LazyLoadable_IsLoaded
Level
Name
Parent
Path
Visibility
_LazyLoadable_Load()
_Load()
class pyIPCMI.DataBase.Entity.Testbench(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of Testbench

Members

ModuleName
FilesFile
Result
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
Name
Parent
Path
Visibility
_Load()
class pyIPCMI.DataBase.Entity.VHDLTestbench(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of VHDLTestbench

Members

_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
FilesFile
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
Result
Visibility
_Load()
class pyIPCMI.DataBase.Entity.CocoTestbench(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of CocoTestbench

Members

TopLevel
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
FilesFile
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
Result
Visibility
_Load()
class pyIPCMI.DataBase.Entity.Netlist(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of Netlist

Members

ModuleName
RulesFile
_LazyLoadable_Load()[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
Name
Parent
Path
Visibility
_Load()
class pyIPCMI.DataBase.Entity.XstNetlist(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of XstNetlist

Members

FilesFile
XcfFile
FilterFile
XstTemplateFile
PrjFile
XstFile
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
RulesFile
Visibility
_Load()
class pyIPCMI.DataBase.Entity.QuartusNetlist(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of QuartusNetlist

Members

FilesFile
QsfFile
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
RulesFile
Visibility
_Load()
class pyIPCMI.DataBase.Entity.LatticeNetlist(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of LatticeNetlist

Members

FilesFile
PrjFile
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
RulesFile
Visibility
_Load()
class pyIPCMI.DataBase.Entity.CoreGeneratorNetlist(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of CoreGeneratorNetlist

Members

FilesFile
XcoFile
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
RulesFile
Visibility
_Load()
class pyIPCMI.DataBase.Entity.VivadoNetlist(host, name, configSectionName, parent)[source]

Inheritance

Inheritance diagram of VivadoNetlist

Members

FilesFile
TclFile
_LazyLoadable_Load()[source]
pprint(indent)[source]
ConfigSection
ConfigSectionName
IsVisible
Kind
LazyLoadable_IsLoaded
Level
ModuleName
Name
Parent
Path
RulesFile
Visibility
_Load()
class pyIPCMI.DataBase.Entity.FQN(host, fqn, libraryName=None, defaultType=<EntityTypes.Source: 1>)[source]

Inheritance

Inheritance diagram of FQN

Members

Root()[source]
Entity

Functions

pyIPCMI.DataBase.Entity._pyIPCMIEntityTypes_parser(cls, value)[source]