pyIPCMI.DataBase.TestCase.pyΒΆ

Classes

  • SimulationStatus: An enumeration.
  • CompileStatus: An enumeration.
  • ElementBase: Undocumented.
  • GroupBase: Undocumented.
  • TestGroup: Undocumented.
  • SynthesisGroup: Undocumented.
  • SuiteMixIn: Undocumented.
  • TestSuite: Undocumented.
  • SynthesisSuite: Undocumented.
  • TestBase: Undocumented.
  • TestCase: Undocumented.
  • Synthesis: Undocumented.
class pyIPCMI.DataBase.TestCase.SimulationStatus[source]

An enumeration.

Inheritance

Inheritance diagram of SimulationStatus

Members

Unknown = 0
DryRun = 1
SystemError = 5
InternalError = 6
AnalyzeError = 7
ElaborationError = 8
SimulationError = 9
SimulationFailed = 10
SimulationNoAsserts = 15
SimulationSuccess = 20
SimulationGUIRun = 30
class pyIPCMI.DataBase.TestCase.CompileStatus[source]

An enumeration.

Inheritance

Inheritance diagram of CompileStatus

Members

Unknown = 0
DryRun = 1
SystemError = 5
InternalError = 6
CompileError = 7
CompileFailed = 10
CompileSuccess = 20
class pyIPCMI.DataBase.TestCase.ElementBase(name, parent)[source]

Inheritance

Inheritance diagram of ElementBase

Members

Name
Parent
class pyIPCMI.DataBase.TestCase.GroupBase(name, parent)[source]

Inheritance

Inheritance diagram of GroupBase

Members

Groups
Count
Name
Parent
class pyIPCMI.DataBase.TestCase.TestGroup(name, parent)[source]

Inheritance

Inheritance diagram of TestGroup

Members

TestCases
PassedCount
NoAssertsCount
DryRunCount
FailedCount
ErrorCount
Count
Groups
Name
Parent
class pyIPCMI.DataBase.TestCase.SynthesisGroup(name, parent)[source]

Inheritance

Inheritance diagram of SynthesisGroup

Members

Synthesises
SuccessCount
DryRunCount
FailedCount
ErrorCount
Count
Groups
Name
Parent
class pyIPCMI.DataBase.TestCase.SuiteMixIn[source]

Inheritance

Inheritance diagram of SuiteMixIn

Members

StartTimer()[source]
StopTimer()[source]
StartTime
EndTime
InitializationTime
OverallRunTime
class pyIPCMI.DataBase.TestCase.TestSuite[source]

Inheritance

Inheritance diagram of TestSuite

Members

IsAllPassed
AddTestCase(testCase)[source]
Count
DryRunCount
EndTime
ErrorCount
FailedCount
Groups
InitializationTime
Name
NoAssertsCount
OverallRunTime
Parent
PassedCount
StartTime
StartTimer()
StopTimer()
TestCases
class pyIPCMI.DataBase.TestCase.SynthesisSuite[source]

Inheritance

Inheritance diagram of SynthesisSuite

Members

IsAllSuccess
AddSynthesis(synthesis)[source]
Count
DryRunCount
EndTime
ErrorCount
FailedCount
Groups
InitializationTime
Name
OverallRunTime
Parent
StartTime
StartTimer()
StopTimer()
SuccessCount
Synthesises
class pyIPCMI.DataBase.TestCase.TestBase(test)[source]

Inheritance

Inheritance diagram of TestBase

Members

Parent
TestGroup
Status
StartTimer()[source]
StopTimer()[source]
OverallRunTime
Name
class pyIPCMI.DataBase.TestCase.TestCase(testbench)[source]

Inheritance

Inheritance diagram of TestCase

Members

Testbench
UpdateStatus(testResult)[source]
Name
OverallRunTime
Parent
StartTimer()
Status
StopTimer()
TestGroup
class pyIPCMI.DataBase.TestCase.Synthesis(synthesis)[source]

Inheritance

Inheritance diagram of Synthesis

Members

Name
OverallRunTime
Parent
StartTimer()
Status
StopTimer()
TestGroup
Netlist
UpdateStatus(synthResult)[source]