PoC.net.icmpv4.WrapperΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
entity icmpv4_Wrapper is
  generic (
    DEBUG                               : boolean               := FALSE;
    SOURCE_IPV4ADDRESS                  : T_NET_IPV4_ADDRESS    := C_NET_IPV4_ADDRESS_EMPTY
  );
  port (
    Clock                               : in  std_logic;
    Reset                               : in  std_logic;
    -- CSE interface
    Command                             : in  T_NET_ICMPV4_COMMAND;
    Status                              : out T_NET_ICMPV4_STATUS;
    Error                               : out T_NET_ICMPV4_ERROR;
    -- Echo-Request destination address
    IPv4Address_rst                     : out std_logic;
    IPv4Address_nxt                     : out std_logic;
    IPv4Address_Data                    : in  T_SLV_8;
    -- to IPv4 layer
    IP_TX_Valid                         : out std_logic;
    IP_TX_Data                          : out T_SLV_8;
    IP_TX_SOF                           : out std_logic;
    IP_TX_EOF                           : out std_logic;
    IP_TX_Ack                           : in  std_logic;
    IP_TX_Meta_rst                      : in  std_logic;
    IP_TX_Meta_SrcIPv4Address_nxt       : in  std_logic;
    IP_TX_Meta_SrcIPv4Address_Data      : out T_SLV_8;
    IP_TX_Meta_DestIPv4Address_nxt      : in  std_logic;
    IP_TX_Meta_DestIPv4Address_Data     : out T_SLV_8;
    IP_TX_Meta_Length                   : out T_SLV_16;
    -- from IPv4 layer
    IP_RX_Valid                         : in  std_logic;
    IP_RX_Data                          : in  T_SLV_8;
    IP_RX_SOF                           : in  std_logic;
    IP_RX_EOF                           : in  std_logic;
    IP_RX_Ack                           : out std_logic;
    IP_RX_Meta_rst                      : out std_logic;
    IP_RX_Meta_SrcMACAddress_nxt        : out std_logic;
    IP_RX_Meta_SrcMACAddress_Data       : in  T_SLV_8;
    IP_RX_Meta_DestMACAddress_nxt       : out std_logic;
    IP_RX_Meta_DestMACAddress_Data      : in  T_SLV_8;
--    IP_RX_Meta_EthType                  : in  T_SLV_16;
    IP_RX_Meta_SrcIPv4Address_nxt       : out std_logic;
    IP_RX_Meta_SrcIPv4Address_Data      : in  T_SLV_8;
    IP_RX_Meta_DestIPv4Address_nxt      : out std_logic;
    IP_RX_Meta_DestIPv4Address_Data     : in  T_SLV_8;
--    IP_RX_Meta_TrafficClass             : in  T_SLV_8;
--    IP_RX_Meta_FlowLabel                : in  T_SLV_24;
    IP_RX_Meta_Length                   : in  T_SLV_16
--    IP_RX_Meta_Protocol                 : in  T_SLV_8
  );
end entity;