PoC.net.icmpv4.RXΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
entity icmpv4_RX is
  generic (
    DEBUG                         : boolean                     := FALSE
  );
  port (
    Clock                         : in  std_logic;                                  --
    Reset                         : in  std_logic;                                  --
    -- CSE interface
    Command                       : in  T_NET_ICMPV4_RX_COMMAND;
    Status                        : out T_NET_ICMPV4_RX_STATUS;
    Error                         : out T_NET_ICMPV4_RX_ERROR;
    -- IN port
    In_Valid                      : in  std_logic;
    In_Data                       : in  T_SLV_8;
    In_SOF                        : in  std_logic;
    In_EOF                        : in  std_logic;
    In_Ack                        : out std_logic;
    In_Meta_rst                   : out std_logic;
    In_Meta_SrcMACAddress_nxt     : out std_logic;
    In_Meta_SrcMACAddress_Data    : in  T_SLV_8;
    In_Meta_DestMACAddress_nxt    : out std_logic;
    In_Meta_DestMACAddress_Data   : in  T_SLV_8;
    In_Meta_SrcIPv4Address_nxt    : out std_logic;
    In_Meta_SrcIPv4Address_Data   : in  T_SLV_8;
    In_Meta_DestIPv4Address_nxt   : out std_logic;
    In_Meta_DestIPv4Address_Data  : in  T_SLV_8;
    In_Meta_Length                : in  T_SLV_16;
    -- OUT Port
    Out_Meta_rst                  : in  std_logic;
    Out_Meta_SrcMACAddress_nxt    : in  std_logic;
    Out_Meta_SrcMACAddress_Data   : out T_SLV_8;
    Out_Meta_DestMACAddress_nxt   : in  std_logic;
    Out_Meta_DestMACAddress_Data  : out T_SLV_8;
    Out_Meta_SrcIPv4Address_nxt   : in  std_logic;
    Out_Meta_SrcIPv4Address_Data  : out T_SLV_8;
    Out_Meta_DestIPv4Address_nxt  : in  std_logic;
    Out_Meta_DestIPv4Address_Data : out T_SLV_8;
    Out_Meta_Length               : out T_SLV_16;
    Out_Meta_Type                 : out T_SLV_8;
    Out_Meta_Code                 : out T_SLV_8;
    Out_Meta_Identification       : out T_SLV_16;
    Out_Meta_SequenceNumber       : out T_SLV_16;
    Out_Meta_Payload_nxt          : in  std_logic;
    Out_Meta_Payload_last         : out std_logic;
    Out_Meta_Payload_Data         : out T_SLV_8
  );
end entity;