PoC.net.icmpv4.TXΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
entity icmpv4_TX is
  generic (
    DEBUG                         : boolean                     := FALSE;
    SOURCE_IPV4ADDRESS            : T_NET_IPV4_ADDRESS          := C_NET_IPV4_ADDRESS_EMPTY
  );
  port (
    Clock                         : in  std_logic;                                  --
    Reset                         : in  std_logic;                                  --
    -- CSE interface
    Command                       : in  T_NET_ICMPV4_TX_COMMAND;
    Status                        : out T_NET_ICMPV4_TX_STATUS;
    Error                         : out T_NET_ICMPV4_TX_ERROR;
    -- OUT port
    Out_Valid                     : out std_logic;
    Out_Data                      : out T_SLV_8;
    Out_SOF                       : out std_logic;
    Out_EOF                       : out std_logic;
    Out_Ack                       : in  std_logic;
    Out_Meta_rst                  : in  std_logic;
    Out_Meta_SrcIPv4Address_nxt   : in  std_logic;
    Out_Meta_SrcIPv4Address_Data  : out T_SLV_8;
    Out_Meta_DestIPv4Address_nxt  : in  std_logic;
    Out_Meta_DestIPv4Address_Data : out T_SLV_8;
    Out_Meta_Length               : out T_SLV_16;
    -- IN port
    In_Meta_rst                   : out std_logic;
    In_Meta_IPv4Address_nxt       : out std_logic;
    In_Meta_IPv4Address_Data      : in  T_SLV_8;
    In_Meta_Type                  : in  T_SLV_8;
    In_Meta_Code                  : in  T_SLV_8;
    In_Meta_Identification        : in  T_SLV_16;
    In_Meta_SequenceNumber        : in  T_SLV_16;
    In_Meta_Payload_nxt           : out std_logic;
    In_Meta_Payload_last          : in  std_logic;
    In_Meta_Payload_Data          : in  T_SLV_8
  );
end entity;