PoC.xil.SystemMonitor

This module wraps a SYSMON or XADC to report if preconfigured temperature values are overrun. The XADC was formerly known as “System Monitor”.

Temperature Curve

                |                      /-----\
Temp_ov   on=80 | - - - - - - /-------/       \
                |            /        |        \
Temp_ov  off=60 | - - - - - / - - - - | - - - - \----\
                |          /          |              |\
                |         /           |              | \
Temp_us   on=35 | -  /---/            |              |  \
Temp_us  off=30 | - / - -|- - - - - - |- - - - - - - |- -\------\
                |  /     |            |              |           \
----------------|--------|------------|--------------|-----------|--------
pwm =           |   min  |  medium    |   max        |   medium  |  min

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
entity xil_SystemMonitor is
  port (
    Reset               : in  std_logic;        -- Reset signal for the System Monitor control logic

    Alarm_UserTemp      : out std_logic;        -- Temperature-sensor alarm output
    Alarm_OverTemp      : out std_logic;        -- Over-Temperature alarm output
    Alarm               : out std_logic;        -- OR'ed output of all the Alarms
    VP                  : in  std_logic;        -- Dedicated Analog Input Pair
    VN                  : in  std_logic
  );
end entity;