PoC.xil.ChipScopeICONΒΆ

This module wraps 15 ChipScope ICON IP core netlists generated from ChipScope ICON xco files. The generic parameter PORTS selects the apropriate ICON instance with 1 to 15 ICON ControlBus ports. Each ControlBus port is of type T_XIL_CHIPSCOPE_CONTROL and of mode inout.

Compile required CoreGenerator IP Cores to Netlists with PoC

Please use the provided Xilinx ISE compile command ise in PoC to recreate the needed source and netlist files on your local machine.

cd PoCRoot
.\poc.ps1 ise PoC.xil.ChipScopeICON --board=KC705

Entity Declaration:

1
2
3
4
5
6
7
8
entity xil_ChipScopeICON is
  generic (
    PORTS       : positive
  );
  port (
    ControlBus  : inout T_XIL_CHIPSCOPE_CONTROL_VECTOR(PORTS - 1 downto 0)
  );
end entity;

See also

Using PoC -> Synthesis
For how to run synthesis with PoC and CoreGenerator.