PoC.net.udp.WrapperΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
entity udp_Wrapper is
  generic (
    DEBUG                             : boolean                     := FALSE;
    IP_VERSION                        : positive                    := 6;
    PORTPAIRS                         : T_NET_UDP_PORTPAIR_VECTOR   := (0 => (x"0000", x"0000"))
  );
  port (
    Clock                             : in  std_logic;
    Reset                             : in  std_logic;
    -- from IP layer
    IP_TX_Valid                       : out std_logic;
    IP_TX_Data                        : out T_SLV_8;
    IP_TX_SOF                         : out std_logic;
    IP_TX_EOF                         : out std_logic;
    IP_TX_Ack                         : in  std_logic;
    IP_TX_Meta_rst                    : in  std_logic;
    IP_TX_Meta_SrcIPAddress_nxt       : in  std_logic;
    IP_TX_Meta_SrcIPAddress_Data      : out T_SLV_8;
    IP_TX_Meta_DestIPAddress_nxt      : in  std_logic;
    IP_TX_Meta_DestIPAddress_Data     : out T_SLV_8;
    IP_TX_Meta_Length                 : out T_SLV_16;
    -- to IP layer
    IP_RX_Valid                       : in  std_logic;
    IP_RX_Data                        : in  T_SLV_8;
    IP_RX_SOF                         : in  std_logic;
    IP_RX_EOF                         : in  std_logic;
    IP_RX_Ack                         : out std_logic;
    IP_RX_Meta_rst                    : out std_logic;
    IP_RX_Meta_SrcMACAddress_nxt      : out std_logic;
    IP_RX_Meta_SrcMACAddress_Data     : in  T_SLV_8;
    IP_RX_Meta_DestMACAddress_nxt     : out std_logic;
    IP_RX_Meta_DestMACAddress_Data    : in  T_SLV_8;
    IP_RX_Meta_EthType                : in  T_SLV_16;
    IP_RX_Meta_SrcIPAddress_nxt       : out std_logic;
    IP_RX_Meta_SrcIPAddress_Data      : in  T_SLV_8;
    IP_RX_Meta_DestIPAddress_nxt      : out std_logic;
    IP_RX_Meta_DestIPAddress_Data     : in  T_SLV_8;
--    IP_RX_Meta_TrafficClass           : in  T_SLV_8;
--    IP_RX_Meta_FlowLabel              : in  T_SLV_24;
    IP_RX_Meta_Length                 : in  T_SLV_16;
    IP_RX_Meta_Protocol               : in  T_SLV_8;
    -- from upper layer
    TX_Valid                          : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_Data                           : in  T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    TX_SOF                            : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_EOF                            : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_Ack                            : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_Meta_rst                       : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_Meta_SrcIPAddress_nxt          : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_Meta_SrcIPAddress_Data         : in  T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    TX_Meta_DestIPAddress_nxt         : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    TX_Meta_DestIPAddress_Data        : in  T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    TX_Meta_SrcPort                   : in  T_SLVV_16(PORTPAIRS'length - 1 downto 0);
    TX_Meta_DestPort                  : in  T_SLVV_16(PORTPAIRS'length - 1 downto 0);
    TX_Meta_Length                    : in  T_SLVV_16(PORTPAIRS'length - 1 downto 0);
    -- to upper layer
    RX_Valid                          : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Data                           : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    RX_SOF                            : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_EOF                            : out std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Ack                            : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Meta_rst                       : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Meta_SrcMACAddress_nxt         : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Meta_SrcMACAddress_Data        : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    RX_Meta_DestMACAddress_nxt        : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Meta_DestMACAddress_Data       : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    RX_Meta_EthType                   : out T_SLVV_16(PORTPAIRS'length - 1 downto 0);
    RX_Meta_SrcIPAddress_nxt          : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Meta_SrcIPAddress_Data         : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    RX_Meta_DestIPAddress_nxt         : in  std_logic_vector(PORTPAIRS'length - 1 downto 0);
    RX_Meta_DestIPAddress_Data        : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
--    RX_Meta_TrafficClass              : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
--    RX_Meta_FlowLabel                 : out T_SLVV_24(PORTPAIRS'length - 1 downto 0);
    RX_Meta_Length                    : out T_SLVV_16(PORTPAIRS'length - 1 downto 0);
    RX_Meta_Protocol                  : out T_SLVV_8(PORTPAIRS'length - 1 downto 0);
    RX_Meta_SrcPort                   : out T_SLVV_16(PORTPAIRS'length - 1 downto 0);
    RX_Meta_DestPort                  : out T_SLVV_16(PORTPAIRS'length - 1 downto 0)
  );
end entity;