PoC.net.udp.FrameLoopbackΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
entity udp_FrameLoopback is
  generic (
    IP_VERSION                    : positive            := 6;
    MAX_FRAMES                    : positive            := 4
  );
  port (
    Clock                         : in  std_logic;
    Reset                         : in  std_logic;
    -- IN port
    In_Valid                      : in  std_logic;
    In_Data                       : in  T_SLV_8;
    In_SOF                        : in  std_logic;
    In_EOF                        : in  std_logic;
    In_Ack                        : out std_logic;
    In_Meta_rst                   : out std_logic;
    In_Meta_DestIPAddress_nxt     : out std_logic;
    In_Meta_DestIPAddress_Data    : in  T_SLV_8;
    In_Meta_SrcIPAddress_nxt      : out std_logic;
    In_Meta_SrcIPAddress_Data     : in  T_SLV_8;
    In_Meta_DestPort              : in  T_NET_UDP_PORT;
    In_Meta_SrcPort               : in  T_NET_UDP_PORT;
    -- OUT port
    Out_Valid                     : out std_logic;
    Out_Data                      : out T_SLV_8;
    Out_SOF                       : out std_logic;
    Out_EOF                       : out std_logic;
    Out_Ack                       : in  std_logic;
    Out_Meta_rst                  : in  std_logic;
    Out_Meta_DestIPAddress_nxt    : in  std_logic;
    Out_Meta_DestIPAddress_Data   : out T_SLV_8;
    Out_Meta_SrcIPAddress_nxt     : in  std_logic;
    Out_Meta_SrcIPAddress_Data    : out T_SLV_8;
    Out_Meta_DestPort             : out T_NET_UDP_PORT;
    Out_Meta_SrcPort              : out T_NET_UDP_PORT
  );
end entity;