PoC.misc.stat.MaximumΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
entity stat_Maximum is
  generic (
    DEPTH         : positive    := 8;
    DATA_BITS     : positive    := 16;
    COUNTER_BITS  : positive    := 16
  );
  port (
    Clock         : in  std_logic;
    Reset         : in  std_logic;

    Enable        : in  std_logic;
    DataIn        : in  std_logic_vector(DATA_BITS - 1 downto 0);

    Valids        : out std_logic_vector(DEPTH - 1 downto 0);
    Maximums      : out T_SLM(DEPTH - 1 downto 0, DATA_BITS - 1 downto 0);
    Counts        : out T_SLM(DEPTH - 1 downto 0, COUNTER_BITS - 1 downto 0)
  );
end entity;