PoC.misc.stat.AverageΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
entity stat_Average is
  generic (
    DATA_BITS     : positive    := 8;
    COUNTER_BITS  : positive    := 16
  );
  port (
    Clock         : in  std_logic;
    Reset         : in  std_logic;

    Enable        : in  std_logic;
    DataIn        : in  std_logic_vector(DATA_BITS - 1 downto 0);

    Count         : out std_logic_vector(COUNTER_BITS - 1 downto 0);
    Sum           : out std_logic_vector(COUNTER_BITS - 1 downto 0);
    Average       : out std_logic_vector(COUNTER_BITS - 1 downto 0);
    Valid         : out std_logic
  );
end entity;