PoC.misc.stat.HistogramΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
entity stat_Histogram is
  generic (
    DATA_BITS     : positive    := 16;
    COUNTER_BITS  : positive    := 16
  );
  port (
    Clock         : in  std_logic;
    Reset         : in  std_logic;

    Enable        : in  std_logic;
    DataIn        : in  std_logic_vector(DATA_BITS - 1 downto 0);

    Histogram     : out T_SLM(2**DATA_BITS - 1 downto 0, COUNTER_BITS - 1 downto 0)
  );
end entity;