PoC.bus.stream.SourceΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
entity stream_Source is
  generic (
    TESTCASES         : T_SIM_STREAM_FRAMEGROUP_VECTOR_8
  );
  port (
    Clock             : in  std_logic;
    Reset             : in  std_logic;
    -- Control interface
    Enable            : in  std_logic;
    -- OUT Port
    Out_Valid         : out std_logic;
    Out_Data          : out T_SLV_8;
    Out_SOF           : out std_logic;
    Out_EOF           : out std_logic;
    Out_Ack           : in  std_logic
  );
end entity;