PoC.bus.stream.MuxΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity stream_Mux is
  generic (
    PORTS             : positive                  := 2;
    DATA_BITS         : positive                  := 8;
    META_BITS         : natural                   := 8;
    META_REV_BITS     : natural                   := 2--;
--    WEIGHTS           : T_INTVEC                  := (1, 1)
  );
  port (
    Clock             : in  std_logic;
    Reset             : in  std_logic;
    -- IN Ports
    In_Valid          : in  std_logic_vector(PORTS - 1 downto 0);
    In_Data           : in  T_SLM(PORTS - 1 downto 0, DATA_BITS - 1 downto 0);
    In_Meta           : in  T_SLM(PORTS - 1 downto 0, META_BITS - 1 downto 0);
    In_Meta_rev       : out T_SLM(PORTS - 1 downto 0, META_REV_BITS - 1 downto 0);
    In_SOF            : in  std_logic_vector(PORTS - 1 downto 0);
    In_EOF            : in  std_logic_vector(PORTS - 1 downto 0);
    In_Ack            : out std_logic_vector(PORTS - 1 downto 0);
    -- OUT Port
    Out_Valid         : out std_logic;
    Out_Data          : out std_logic_vector(DATA_BITS - 1 downto 0);
    Out_Meta          : out std_logic_vector(META_BITS - 1 downto 0);
    Out_Meta_rev      : in  std_logic_vector(META_REV_BITS - 1 downto 0);
    Out_SOF           : out std_logic;
    Out_EOF           : out std_logic;
    Out_Ack           : in  std_logic
  );
end entity;