PoC.bus.stream.FrameGeneratorΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity stream_FrameGenerator is
  generic (
    DATA_BITS         : positive                            := 8;
    WORD_BITS         : positive                            := 16;
    APPEND            : T_FRAMEGEN_APPEND                   := FRAMEGEN_APP_NONE;
    FRAMEGROUPS       : T_FRAMEGEN_FRAMEGROUP_VECTOR_8      := (0 => C_FRAMEGEN_FRAMEGROUP_EMPTY)
  );
  port (
    Clock             : in  std_logic;
    Reset             : in  std_logic;
    -- CSE interface
    Command           : in  T_FRAMEGEN_COMMAND;
    Status            : out T_FRAMEGEN_STATUS;
    -- Control interface
    Pause             : in  T_SLV_16;
    FrameGroupIndex   : in  T_SLV_8;
    FrameIndex        : in  T_SLV_8;
    Sequences         : in  T_SLV_16;
    FrameLength       : in  T_SLV_16;
    -- OUT Port
    Out_Valid         : out std_logic;
    Out_Data          : out std_logic_vector(DATA_BITS - 1 downto 0);
    Out_SOF           : out std_logic;
    Out_EOF           : out std_logic;
    Out_Ack           : in  std_logic
  );
end entity;