ToolChains.Mentor.QuestaSim

Exceptions

Classes

Functions

exception ToolChains.Mentor.QuestaSim.QuestaSimException(message='')[source]

Inheritance

Inheritance diagram of QuestaSimException

Members

__init__(message='')

Exception initializer

Parameters:message (str) – The exception message.
__str__()

Returns the exception’s message text.

args
class ToolChains.Mentor.QuestaSim.Configuration(host: Base.IHost)[source]

Inheritance

Inheritance diagram of Configuration

Members

_vendor = 'Mentor'

The name of the tools vendor.

_toolName = 'Mentor QuestaSim'

The name of the tool.

_section = 'INSTALL.Mentor.QuestaSim'

The name of the configuration section. Pattern: INSTALL.Vendor.ToolName.

_multiVersionSupport = True

Mentor QuestaSim supports multiple versions installed on the same system.

_template = {'Linux': {'INSTALL.Mentor.QuestaSim': {'Version': '10.5c', 'BinaryDirectory': ('${${SectionName}:BinaryDirectory}', '${InstallationDirectory}/bin'), 'SectionName': ('%{PathWithRoot}#${Version}', None), 'InstallationDirectory': ('${${SectionName}:InstallationDirectory}', '${INSTALL.Mentor:InstallationDirectory}/${Version}/questasim')}}, 'Windows': {'INSTALL.Mentor.QuestaSim': {'Version': '10.5c', 'BinaryDirectory': ('${${SectionName}:BinaryDirectory}', '${InstallationDirectory}/win64'), 'SectionName': ('%{PathWithRoot}#${Version}', None), 'InstallationDirectory': ('${${SectionName}:InstallationDirectory}', '${INSTALL.Mentor:InstallationDirectory}/QuestaSim/${Version}')}}}

The template for the configuration sections represented as nested dictionaries.

ConfigureForAll()[source]
_CheckQuestaSimVersion(binPath, version)[source]
CheckDependency()[source]

Check if general Mentor Graphics support is configured in PoC.

ClearSection(writeWarnings=False)[source]

Clear the configuration section associated to this Configuration class.

ConfigureForDarwin()[source]

Start the configuration procedure for Darwin.

This method is a wrapper for ConfigureForAll(). Overwrite this method to implement a Darwin specific configuration routine.

ConfigureForLinux()[source]

Start the configuration procedure for Linux.

This method is a wrapper for ConfigureForAll(). Overwrite this method to implement a Linux specific configuration routine.

ConfigureForWindows()[source]

Start the configuration procedure for Windows.

This method is a wrapper for ConfigureForAll(). Overwrite this method to implement a Windows specific configuration routine.

GetSections(platform)[source]

Return all section names for this configuration.

Host

Return the hosting object.

IsConfigured()[source]

Return true if the configurations section is configured

IsSupportedPlatform()[source]

Return true if the given platform is supported by this configuration routine.

Log(entry, condition=True)

Write an entry to the local logger.

LogDebug(*args, condition=True, **kwargs)
LogDryRun(*args, condition=True, **kwargs)
LogError(*args, condition=True, **kwargs)
LogFatal(*args, condition=True, **kwargs)
LogInfo(*args, condition=True, **kwargs)
LogNormal(*args, condition=True, **kwargs)
LogQuiet(*args, condition=True, **kwargs)
LogVerbose(*args, condition=True, **kwargs)
LogWarning(*args, condition=True, **kwargs)
Logger

Return the local logger instance.

PrepareOptions(writeWarnings=True)[source]
PrepareSections(warningWasWritten, writeWarnings=True)[source]
PrepareVersionedSections(writeWarnings=False)
RunPostConfigurationTasks()[source]
SectionName

Return the configuration’s section name.

State

Return the configuration state.

_Ask(question, default, beforeDefault='', afterDefault='', indent=1)
_AskInstalled(question)[source]

Ask a Yes/No/Pass question.

_AskYes_NoPass(question, indent=1)

Ask a yes/NO/pass question.

_Ask_YesNoPass(question, indent=1)

Ask a YES/no/pass question.

_CheckModelSimVersion(binPath, version)[source]
_ConfigureBinaryDirectory()

Updates section with value from _template and returns directory as Path object.

_ConfigureEdition(editions, defaultEdition)
_ConfigureInstallationDirectory()[source]

Asks for installation directory and updates section. Checks if entered directory exists and returns Path object. If no installation directory was configured before, then _GetDefaultInstallationDir is called.

_ConfigureVersion()

If no version was configured before, then _GetDefaultVersion is called. Asks for version and updates section. Returns version as string.

_GetDefaultEdition()

Returns unresolved default edition (str) from template.

Overwrite this method in a sub-class for automatic search of editions.

_GetDefaultInstallationDirectory()[source]

Return unresolved default installation directory (str) from template.

Overwrite function in sub-class for automatic search of installation directory.

_GetDefaultOptionValue(optionName)[source]
_GetDefaultVersion()

Returns unresolved default version (str) from template.

Overwrite this method in a sub-class for automatic search of version.

_GetModelSimVersion(binPath)[source]
_PrintAvailableEditions(editions, selectedEdition)

Print all available editions and return the selected index.

_TestDefaultInstallPath(defaults)[source]

Helper function for automatic search of installation directory.

_TryLog(*args, condition=True, **kwargs)
class ToolChains.Mentor.QuestaSim.QuestaSim(platform, dryrun, binaryDirectoryPath, version, logger=None)[source]

Inheritance

Inheritance diagram of QuestaSim

Members

GetVHDLCompiler()[source]
GetSimulator()[source]
GetVHDLLibraryTool()[source]
class ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler(toolchain: ToolChains.ToolMixIn)[source]

Inheritance

Inheritance diagram of QuestaVHDLCompiler

Members

HasWarnings
HasErrors
class Executable[source]
_value = None
class QuestaVHDLCompiler.FlagTime[source]
_name = 'time'
_value = None
class QuestaVHDLCompiler.FlagExplicit[source]
_name = 'explicit'
_value = None
class QuestaVHDLCompiler.FlagQuietMode[source]
_name = 'quiet'
_value = None
class QuestaVHDLCompiler.SwitchModelSimIniFile[source]
_name = 'modelsimini'
_value = None
class QuestaVHDLCompiler.FlagRangeCheck[source]
_name = 'rangecheck'
_value = None
class QuestaVHDLCompiler.SwitchVHDLVersion[source]
_pattern = '-{0}'
_value = None
class QuestaVHDLCompiler.ArgLogFile[source]
_name = 'l'
_value = None
class QuestaVHDLCompiler.SwitchVHDLLibrary[source]
_name = 'work'
_value = None
class QuestaVHDLCompiler.ArgSourceFile[source]
_value = None
QuestaVHDLCompiler.Parameters = [<class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.Executable'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.FlagTime'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.FlagExplicit'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.FlagQuietMode'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.SwitchModelSimIniFile'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.FlagRangeCheck'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.SwitchVHDLVersion'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.ArgLogFile'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.SwitchVHDLLibrary'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLCompiler.ArgSourceFile'>]
QuestaVHDLCompiler.Compile()[source]
QuestaVHDLCompiler.GetTclCommand()[source]
QuestaVHDLCompiler.GetReader()
QuestaVHDLCompiler.Log(entry, condition=True)

Write an entry to the local logger.

QuestaVHDLCompiler.LogDebug(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogDryRun(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogError(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogFatal(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogInfo(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogNormal(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogQuiet(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogVerbose(*args, condition=True, **kwargs)
QuestaVHDLCompiler.LogWarning(*args, condition=True, **kwargs)
QuestaVHDLCompiler.Logger

Return the local logger instance.

QuestaVHDLCompiler.Path
QuestaVHDLCompiler.ReadUntilBoundary(indent=0)
QuestaVHDLCompiler.Send(line, end='\n')
QuestaVHDLCompiler.SendBoundary()
QuestaVHDLCompiler.StartProcess(parameterList)
QuestaVHDLCompiler.Terminate()
QuestaVHDLCompiler._POC_BOUNDARY = '====== POC BOUNDARY ======'
QuestaVHDLCompiler._TryLog(*args, condition=True, **kwargs)
class ToolChains.Mentor.QuestaSim.QuestaSimulator(toolchain: ToolChains.ToolMixIn)[source]

Inheritance

Inheritance diagram of QuestaSimulator

Members

HasWarnings
HasErrors
class Executable[source]
_value = None
class QuestaSimulator.FlagQuietMode[source]
_name = 'quiet'
_value = None
class QuestaSimulator.FlagBatchMode[source]
_name = 'batch'
_value = None
class QuestaSimulator.FlagGuiMode[source]
_name = 'gui'
_value = None
class QuestaSimulator.SwitchBatchCommand[source]
_name = 'do'
_value = None
class QuestaSimulator.FlagCommandLineMode[source]
_name = 'c'
_value = None
class QuestaSimulator.SwitchModelSimIniFile[source]
_name = 'modelsimini'
_value = None
class QuestaSimulator.FlagOptimization[source]
_name = 'vopt'
_value = None
class QuestaSimulator.FlagReportAsError[source]
_name = 'error'
_value = None
class QuestaSimulator.SwitchTimeResolution[source]
_name = 't'
_value = None
class QuestaSimulator.ArgLogFile[source]
_name = 'l'
_value = None
class QuestaSimulator.ArgKeepStdOut[source]
_name = 'keepstdout'
class QuestaSimulator.ArgVHDLLibraryName[source]
_name = 'lib'
_value = None
class QuestaSimulator.ArgOnFinishMode[source]
_name = 'onfinish'
_value = None
class QuestaSimulator.SwitchTopLevel[source]
_value = None
QuestaSimulator.Parameters = [<class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.Executable'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.FlagQuietMode'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.FlagBatchMode'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.FlagGuiMode'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.SwitchBatchCommand'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.FlagCommandLineMode'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.SwitchModelSimIniFile'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.FlagOptimization'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.FlagReportAsError'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.ArgLogFile'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.ArgKeepStdOut'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.ArgVHDLLibraryName'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.SwitchTimeResolution'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.ArgOnFinishMode'>, <class 'ToolChains.Mentor.QuestaSim.QuestaSimulator.SwitchTopLevel'>]
QuestaSimulator.Simulate()[source]
QuestaSimulator.GetReader()
QuestaSimulator.Log(entry, condition=True)

Write an entry to the local logger.

QuestaSimulator.LogDebug(*args, condition=True, **kwargs)
QuestaSimulator.LogDryRun(*args, condition=True, **kwargs)
QuestaSimulator.LogError(*args, condition=True, **kwargs)
QuestaSimulator.LogFatal(*args, condition=True, **kwargs)
QuestaSimulator.LogInfo(*args, condition=True, **kwargs)
QuestaSimulator.LogNormal(*args, condition=True, **kwargs)
QuestaSimulator.LogQuiet(*args, condition=True, **kwargs)
QuestaSimulator.LogVerbose(*args, condition=True, **kwargs)
QuestaSimulator.LogWarning(*args, condition=True, **kwargs)
QuestaSimulator.Logger

Return the local logger instance.

QuestaSimulator.Path
QuestaSimulator.ReadUntilBoundary(indent=0)
QuestaSimulator.Send(line, end='\n')
QuestaSimulator.SendBoundary()
QuestaSimulator.StartProcess(parameterList)
QuestaSimulator.Terminate()
QuestaSimulator._POC_BOUNDARY = '====== POC BOUNDARY ======'
QuestaSimulator._TryLog(*args, condition=True, **kwargs)
class ToolChains.Mentor.QuestaSim.QuestaVHDLLibraryTool(toolchain: ToolChains.ToolMixIn)[source]

Inheritance

Inheritance diagram of QuestaVHDLLibraryTool

Members

HasWarnings
HasErrors
class Executable[source]
class QuestaVHDLLibraryTool.SwitchLibraryName[source]
QuestaVHDLLibraryTool.Parameters = [<class 'ToolChains.Mentor.QuestaSim.QuestaVHDLLibraryTool.Executable'>, <class 'ToolChains.Mentor.QuestaSim.QuestaVHDLLibraryTool.SwitchLibraryName'>]
QuestaVHDLLibraryTool.CreateLibrary()[source]
QuestaVHDLLibraryTool.GetReader()
QuestaVHDLLibraryTool.Log(entry, condition=True)

Write an entry to the local logger.

QuestaVHDLLibraryTool.LogDebug(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogDryRun(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogError(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogFatal(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogInfo(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogNormal(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogQuiet(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogVerbose(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.LogWarning(*args, condition=True, **kwargs)
QuestaVHDLLibraryTool.Logger

Return the local logger instance.

QuestaVHDLLibraryTool.Path
QuestaVHDLLibraryTool.ReadUntilBoundary(indent=0)
QuestaVHDLLibraryTool.Send(line, end='\n')
QuestaVHDLLibraryTool.SendBoundary()
QuestaVHDLLibraryTool.StartProcess(parameterList)
QuestaVHDLLibraryTool.Terminate()
QuestaVHDLLibraryTool._POC_BOUNDARY = '====== POC BOUNDARY ======'
QuestaVHDLLibraryTool._TryLog(*args, condition=True, **kwargs)

Functions

ToolChains.Mentor.QuestaSim.QuestaVComFilter(gen)[source]
ToolChains.Mentor.QuestaSim.QuestaVSimFilter(gen)[source]
ToolChains.Mentor.QuestaSim.QuestaVLibFilter(gen)[source]