PoC.pyΒΆ

Classes

  • PoCEntityAttribute: Undocumented.
  • BoardDeviceAttributeGroup: Undocumented.
  • VHDLVersionAttribute: Undocumented.
  • SimulationStepsAttribute: Undocumented.
  • CompileStepsAttribute: Undocumented.
  • PileOfCores: A mixin class to provide local logging methods.

Functions

  • main(): This is the entry point for PoC.py written as a function.
class PoC.PoCEntityAttribute[source]

Inheritance

Inheritance diagram of PoCEntityAttribute

Members

GetAttributes(method)
GetMethods(cl)
_AppendAttribute(func, attribute)
_debug = False
class PoC.BoardDeviceAttributeGroup[source]

Inheritance

Inheritance diagram of BoardDeviceAttributeGroup

Members

GetAttributes(method)
GetMethods(cl)
_AppendAttribute(func, attribute)
_debug = False
class PoC.VHDLVersionAttribute[source]

Inheritance

Inheritance diagram of VHDLVersionAttribute

Members

GetAttributes(method)
GetMethods(cl)
_AppendAttribute(func, attribute)
_debug = False
class PoC.SimulationStepsAttribute[source]

Inheritance

Inheritance diagram of SimulationStepsAttribute

Members

GetAttributes(method)
GetMethods(cl)
_AppendAttribute(func, attribute)
_debug = False
class PoC.CompileStepsAttribute[source]

Inheritance

Inheritance diagram of CompileStepsAttribute

Members

GetAttributes(method)
GetMethods(cl)
_AppendAttribute(func, attribute)
_debug = False
class PoC.PileOfCores(debug, verbose, quiet, dryRun, sphinx=False)[source]

Inheritance

Inheritance diagram of PileOfCores

Members

HeadLine = 'The PoC-Library - Service Tool'
Platform
DryRun
Directories
ConfigFiles
PoCConfig
Root
Repository
SaveAndReloadPoCConfiguration()[source]
Run()[source]
PrintHeadline()[source]
HandleDefault(_)[source]
HandleHelp(args)[source]
HandleConfiguration(args)[source]

Handle ‘configure’ command.

HandleAddSolution(_)[source]
HandleListSolution(_)[source]
HandleRemoveSolution(args)[source]
HandleListProject(args)[source]
HandleQueryConfiguration(args)[source]
_ExtractBoard(BoardName, DeviceName, force=False)[source]
_ExtractFQNs(fqns, defaultLibrary='PoC', defaultType=<EntityTypes.Testbench: 2>)[source]
_ExtractVHDLVersion(vhdlVersion, defaultVersion=None)[source]
_CheckVivadoEnvironment()[source]
_CheckISEEnvironment()[source]
static _ExtractSimulationSteps(guiMode, analyze, elaborate, optimize, recompile, simulate, showWaveform, resimulate, showReport, cleanUp)[source]
static _ExtractCompileSteps(guiMode, synthesize, showReport, cleanUp)[source]
HandleListTestbenches(args)[source]
HandleActiveHDLSimulation(args)[source]
HandleGHDLSimulation(args)[source]
HandleISESimulation(args)[source]
HandleQuestaSimulation(args)[source]
HandleVivadoSimulation(args)[source]
HandleCocotbSimulation(args)[source]
HandleListNetlist(args)[source]
HandleISECompilation(args)[source]
HandleCoreGeneratorCompilation(args)[source]
HandleXstCompilation(args)[source]
HandleIpCatalogCompilation(args)[source]
GetAttributes(method)
GetMethods()
HandleVivadoCompilation(args)[source]
HasAttribute(method)
Log(entry, condition=True)

Write an entry to the local logger.

LogDebug(*args, condition=True, **kwargs)
LogDryRun(*args, condition=True, **kwargs)
LogError(*args, condition=True, **kwargs)
LogFatal(*args, condition=True, **kwargs)
LogInfo(*args, condition=True, **kwargs)
LogNormal(*args, condition=True, **kwargs)
LogQuiet(*args, condition=True, **kwargs)
LogVerbose(*args, condition=True, **kwargs)
LogWarning(*args, condition=True, **kwargs)
Logger

Return the local logger instance.

MainParser
SubParsers
_ArgParseMixin__mainParser = None
_ArgParseMixin__subParser = None
_ArgParseMixin__subParsers = {}
_PileOfCores__BackupPoCConfiguration()
_PileOfCores__CONFIGFILE_BOARDS = 'config.boards.ini'
_PileOfCores__CONFIGFILE_DEFAULTS = 'config.defaults.ini'
_PileOfCores__CONFIGFILE_DIRECTORY = 'py'
_PileOfCores__CONFIGFILE_IPCORES = 'config.entity.ini'
_PileOfCores__CONFIGFILE_PRIVATE = 'config.private.ini'
_PileOfCores__CONFIGFILE_STRUCTURE = 'config.structure.ini'
_PileOfCores__CheckEnvironment()
_PileOfCores__PLATFORM = 'Linux'
_PileOfCores__PrepareForConfiguration()
_PileOfCores__PrepareForSimulation()
_PileOfCores__PrepareForSynthesis()
_PileOfCores__ReadPoCConfiguration()
_PileOfCores__WritePoCConfiguration()
_TryLog(*args, condition=True, **kwargs)
HandleQuartusCompilation(args)[source]
HandleLSECompilation(args)[source]

Functions

PoC.main()[source]

This is the entry point for PoC.py written as a function.

  1. It extracts common flags from the script’s arguments list, before ArgumentParser is fully loaded.
  2. It initializes colorama for colored outputs
  3. It creates an instance of PoC and hands over to class based execution. All is wrapped in a big try..except block to catch every unhandled exception.
  4. Shutdown the script and return its exit code.