PoC.xil.SystemMonitorΒΆ

This module generates a PWM signal for a 3-pin (transistor controlled) or 4-pin fan header. The FPGAs temperature is read from device specific system monitors (normal, user temperature, over temperature).

For example the Xilinx System Monitors are configured as follows:

                |                      /-----\
Temp_ov   on=80 | - - - - - - /-------/       \
                |            /        |        \
Temp_ov  off=60 | - - - - - / - - - - | - - - - \----\
                |          /          |              |\
                |         /           |              | \
Temp_us   on=35 | -  /---/            |              |  \
Temp_us  off=30 | - / - -|- - - - - - |- - - - - - - |- -\------\
                |  /     |            |              |           \
----------------|--------|------------|--------------|-----------|--------
pwm =           |   min  |  medium    |   max        |   medium  |  min

Entity Declaration: