PoC.sort.sortnet.MergeSort_StreamedΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity sortnet_MergeSort_Streamed is
  generic (
    FIFO_DEPTH  : positive    := 32;
    KEY_BITS    : positive    := 32;
    DATA_BITS   : positive    := 32
  );
  port (
    Clock     : in  std_logic;
    Reset     : in  std_logic;

    Inverse   : in  std_logic     := '0';

    In_Valid  : in  std_logic;
    In_Data   : in  std_logic_vector(DATA_BITS - 1 downto 0);
    In_SOF    : in  std_logic;
    In_IsKey  : in  std_logic;
    In_EOF    : in  std_logic;
    In_Ack    : out std_logic;

    Out_Sync  : out std_logic;
    Out_Valid : out std_logic;
    Out_Data  : out std_logic_vector(DATA_BITS - 1 downto 0);
    Out_SOF   : out std_logic;
    Out_IsKey : out std_logic;
    Out_EOF   : out std_logic;
    Out_Ack   : in  std_logic
  );
end entity;