compile-xilinx-ise.sh

This script pre-compiles the Xilinx primitives. Because Xilinx offers two tool chains (ISE, Vivado), this script will generate all outputs into a xilinx-ise directory and a symlink to xilinx will be created. This eases the coexistence of pre-compiled primitives from ISE and Vivado.

Supported Simulators

Target Description
All pre-compile for all simulators
GHDL pre-compile for the GHDL simulator
Questa pre-compile for Metor Graphics QuestaSim

Command Line Options

--help

Show the embedded help page(s).

--clean

Clean up directory before analyzing.

--all

Pre-compile all libraries and packages for all simulators.

--ghdl

Pre-compile the Altera Quartus libraries for GHDL.

--questa

Pre-compile the Altera Quartus libraries for QuestaSim.

Additional Options for GHDL

--vhdl93

For GHDL only: Set VHDL Standard to ‘93.

--vhdl2008

For GHDL only: Set VHDL Standard to ‘08.

GHDL Notes

Not all primitives and macros are available as plain VHDL source code. Encrypted SecureIP primitives and netlists cannot be pre-compiled by GHDL.

QuestaSim Notes

The pre-compilation for QuestaSim uses a build in program from Xilinx.