pyIPCMI.DataBase.Config.pyΒΆ

Classes

  • BaseEnum: An enumeration.
  • Vendors: An enumeration.
  • Families: Base enum for all Family enums.
  • GenericFamilies: Enumeration of all generic families.
  • AlteraFamilies: Enumeration of all Altera families.
  • LatticeFamilies: Enumeration of all Lattice families.
  • XilinxFamilies: Enumeration of all Xilinx families.
  • Devices: Base enum for all Device enums.
  • GenericDevices: Enumeration of all generic devices.
  • AlteraDevices: Enumeration of all Altera devices.
  • LatticeDevices: Enumeration of all Lattice devices.
  • XilinxDevices: Enumeration of all Xilinx devices.
  • SubTypes: Base enum for all SubType enums.
  • GenericSubTypes: Enumeration of all generic device subtype.
  • AlteraSubTypes: Enumeration of all Altera device subtype.
  • LatticeSubTypes: Enumeration of all Lattice device subtype.
  • XilinxSubTypes: Enumeration of all Xilinx device subtype.
  • Packages: An enumeration.
  • Device: Undocumented.
  • Board: Undocumented.
class pyIPCMI.DataBase.Config.BaseEnum[source]

An enumeration.

Inheritance

Inheritance diagram of BaseEnum

Members

class pyIPCMI.DataBase.Config.Vendors[source]

An enumeration.

Inheritance

Inheritance diagram of Vendors

Members

Unknown = 0
Generic = 1
Altera = 2
Lattice = 3
MicroSemi = 4
Xilinx = 5
class pyIPCMI.DataBase.Config.Families[source]

Base enum for all Family enums.

Inheritance

Inheritance diagram of Families

Members

class pyIPCMI.DataBase.Config.GenericFamilies[source]

Enumeration of all generic families.

Inheritance

Inheritance diagram of GenericFamilies

Members

Unknown = None
Generic = 'g'
class pyIPCMI.DataBase.Config.AlteraFamilies[source]

Enumeration of all Altera families.

Inheritance

Inheritance diagram of AlteraFamilies

Members

Max = 'm'
Cyclone = 'c'
Arria = 'a'
Stratix = 's'
class pyIPCMI.DataBase.Config.LatticeFamilies[source]

Enumeration of all Lattice families.

Inheritance

Inheritance diagram of LatticeFamilies

Members

ECP = 'lfe'
class pyIPCMI.DataBase.Config.XilinxFamilies[source]

Enumeration of all Xilinx families.

Inheritance

Inheritance diagram of XilinxFamilies

Members

Spartan = 's'
Artix = 'a'
Kintex = 'k'
Virtex = 'v'
Zynq = 'z'
class pyIPCMI.DataBase.Config.Devices[source]

Base enum for all Device enums.

Inheritance

Inheritance diagram of Devices

Members

class pyIPCMI.DataBase.Config.GenericDevices[source]

Enumeration of all generic devices.

Inheritance

Inheritance diagram of GenericDevices

Members

Unknown = 0
Generic = 1
class pyIPCMI.DataBase.Config.AlteraDevices[source]

Enumeration of all Altera devices.

Inheritance

Inheritance diagram of AlteraDevices

Members

Max2 = 100
Max4 = 101
Max5 = 102
Max10 = 103
Cyclone3 = 110
Cyclone4 = 111
Cyclone5 = 112
Arria2 = 120
Arria5 = 121
Stratix2 = 130
Stratix4 = 131
Stratix5 = 132
Stratix10 = 133
class pyIPCMI.DataBase.Config.LatticeDevices[source]

Enumeration of all Lattice devices.

Inheritance

Inheritance diagram of LatticeDevices

Members

iCE40 = 200
MachXO = 210
MachXO2 = 211
MachXO3 = 212
ECP2 = 220
ECP3 = 221
ECP5 = 222
class pyIPCMI.DataBase.Config.XilinxDevices[source]

Enumeration of all Xilinx devices.

Inheritance

Inheritance diagram of XilinxDevices

Members

Spartan3 = 310
Spartan6 = 311
Artix7 = 320
Kintex7 = 330
KintexUltraScale = 331
KintexUltraScalePlus = 332
Virtex2 = 340
Virtex4 = 341
Virtex5 = 342
Virtex6 = 343
Virtex7 = 344
VirtexUltraScale = 345
VirtexUltraScalePlus = 346
Zynq7000 = 350
class pyIPCMI.DataBase.Config.SubTypes[source]

Base enum for all SubType enums.

Inheritance

Inheritance diagram of SubTypes

Members

class pyIPCMI.DataBase.Config.GenericSubTypes[source]

Enumeration of all generic device subtype.

Inheritance

Inheritance diagram of GenericSubTypes

Members

Unknown = None
Generic = 1
NoSubType = ('', '')
class pyIPCMI.DataBase.Config.AlteraSubTypes[source]

Enumeration of all Altera device subtype.

Inheritance

Inheritance diagram of AlteraSubTypes

Members

NoSubType = ('', '')
LS = ('ls', '')
E = ('e', '')
GS = ('gs', '')
GX = ('gx', '')
GT = ('gt', '')
GZ = ('gz', '')
SX = ('sx', '')
ST = ('st', '')
class pyIPCMI.DataBase.Config.LatticeSubTypes[source]

Enumeration of all Lattice device subtype.

Inheritance

Inheritance diagram of LatticeSubTypes

Members

NoSubType = ('', '')
U = ('u', '')
UM = ('um', '')
class pyIPCMI.DataBase.Config.XilinxSubTypes[source]

Enumeration of all Xilinx device subtype.

Inheritance

Inheritance diagram of XilinxSubTypes

Members

NoSubType = ('', '')
DA = ('d', 'a')
E = ('', 'e')
AN = ('', 'an')
X = ('x', '')
T = ('', 't')
XT = ('x', 't')
HT = ('h', 't')
LX = ('lx', '')
SXT = ('sx', 't')
LXT = ('lx', 't')
TXT = ('tx', 't')
FXT = ('fx', 't')
CXT = ('cx', 't')
HXT = ('hx', 't')
class pyIPCMI.DataBase.Config.Packages[source]

An enumeration.

Inheritance

Inheritance diagram of Packages

Members

Unknown = 0
Generic = 1
TQG = 10
CLG = 20
CPG = 21
CSG = 22
CABGA = 25
FBG = 30
FF = 31
FFG = 32
FG = 33
FGG = 34
FLG = 35
FT = 36
FTG = 37
RB = 40
RBG = 41
RF = 42
RS = 43
E = 50
Q = 51
F = 52
U = 53
M = 54
class pyIPCMI.DataBase.Config.Device(deviceString)[source]

Inheritance

Inheritance diagram of Device

Members

_DecodeGeneric()[source]
_DecodeAltera(deviceString)[source]
_DecodeLatticeICE(deviceString)[source]
_DecodeLatticeLCM(deviceString)[source]
_DecodeLatticeLFE(deviceString)[source]
_DecodeLatticeECP3(deviceString)[source]
_DecodeLatticeECP5(deviceString)[source]
_DecodeXilinx(deviceString)[source]
Vendor
Family
Device
Generation
Number
SpeedGrade
PinCount
Package
Name
ShortName
FullName
FullName2
FamilyName
Series
GetVariables()[source]
class pyIPCMI.DataBase.Config.Board(host, boardName=None, device=None)[source]

Inheritance

Inheritance diagram of Board

Members

Name
Device
GetVariables()[source]