PoC.misc.filter.orΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
entity filter_or is
  generic (
    TAPS            : positive        := 4;       --
    INIT            : std_logic       := '1';     --
    ADD_OUTPUT_REG  : boolean         := FALSE    --
  );
  port (
    Clock           : in  std_logic;              -- clock
    DataIn          : in  std_logic;              -- data to filter
    DataOut         : out std_logic               -- filtered signal
  );
end entity;