PoC.mem.ocromΒΆ

The namespace PoC.mem.ocrom offers different on-chip ROM abstractions.

Package

The package PoC.mem.ocrom holds all component declarations for this namespace.

library PoC;
use     PoC.ocrom.all;

Entities

  • ocrom_sp is a on-chip RAM with a single port interface.
  • ocrom_dp is a on-chip RAM with a dual port interface.