PoC.arith.carrychain_inc

This is a generic carry-chain abstraction for increment by one operations.

Y <= X + (0…0) & Cin

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
entity arith_carrychain_inc is
  generic (
    BITS      : positive
  );
  port (
    X   : in  std_logic_vector(BITS - 1 downto 0);
    CIn : in  std_logic                             := '1';
    Y   : out std_logic_vector(BITS - 1 downto 0)
  );
end entity;