PoC.sort.sortnet.TransformΒΆ

Todo

No documentation available.

Entity Declaration:

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
entity sortnet_Transform is
  generic (
    ROWS        : positive    := 16;
    COLUMNS     : positive    := 4;
    DATA_BITS   : positive    := 8
  );
  port (
    Clock     : in  std_logic;
    Reset     : in  std_logic;

    In_Valid  : in  std_logic;
    In_Data   : in  T_SLM(ROWS - 1 downto 0, DATA_BITS - 1 downto 0);
    In_SOF    : in  std_logic;
    In_EOF    : in  std_logic;

    Out_Valid : out std_logic;
    Out_Data  : out T_SLM(COLUMNS - 1 downto 0, DATA_BITS - 1 downto 0);
    Out_SOF   : out std_logic;
    Out_EOF   : out std_logic
  );
end entity;